Exposed Datapath for Efficient Computing
Rapport, 2006

We introduce FlexCore, which is the first exemplar of a processor based on the FlexSoC processor paradigm. The FlexCore utilizes an exposed datapath for increased performance. Microbenchmarks yield a performance boost of a factor of two over a traditional five-stage pipeline with the same functional units as the FlexCore. We describe our approach to compiling for the FlexCore. A flexible interconnect allows the FlexCore datapath to be dynamically reconfigured as a consequence of code generation. Additionally, specialized functional units may be introduced and utilized within the same architecture and compilation framework. The exposed datapath requires a wide control word. The conducted evaluation of two micro benchmarks confirms that this increases the instruction bandwidth and memory footprint. This calls for an efficient instruction decoding as proposed in the FlexSoC paradigm.

Författare

Magnus Björk

Chalmers, Data- och informationsteknik, Datavetenskap

Magnus Själander

Chalmers, Data- och informationsteknik, Datorteknik

Lars Svensson

Chalmers, Data- och informationsteknik, Datorteknik

Martin Thuresson

Chalmers, Data- och informationsteknik, Datorteknik

John Hughes

Chalmers, Data- och informationsteknik, Datavetenskap

Kjell Jeppson

Chalmers, Mikroteknologi och nanovetenskap, Fasta tillståndets elektronik

Jonas Karlsson

Chalmers, Data- och informationsteknik, Datorteknik

Per Larsson-Edefors

Chalmers, Data- och informationsteknik, Datorteknik

Mary Sheeran

Chalmers, Data- och informationsteknik, Datavetenskap

Per Stenström

Chalmers, Data- och informationsteknik, Datorteknik

Ämneskategorier

Datorteknik

Technical report - Department of Computer Science and Engineering, Chalmers University of Technology and Göteborg University: 2006-21

Mer information

Skapat

2017-10-07