Speculative Tag Access for Reduced Energy Dissipation in Set-Associative L1 Data Caches
Paper i proceeding, 2013

Due to performance reasons, all ways in set-associative level-one (L1) data caches are accessed in parallel for load operations even though the requested data can only reside in one of the ways. Thus, a significant amount of energy is wasted when loads are performed. We propose a speculation technique that performs the tag comparison in parallel with the address calculation, leading to the access of only one way during the following cycle on successful speculations. The technique incurs no execution time penalty, has an insignificant area overhead, and does not require any customized SRAM implementation. Assuming a 16kB 4-way set-associative L1 data cache implemented in a 65-nm process technology, our evaluation based on 20 different MiBench benchmarks shows that the proposed technique on average leads to a 24% data cache energy reduction.

Författare

Alen Bardizbanyan

Chalmers, Data- och informationsteknik, Datorteknik

Magnus Själander

Chalmers, Data- och informationsteknik, Datorteknik

David Whalley

Per Larsson-Edefors

Chalmers, Data- och informationsteknik, Datorteknik

Proceedings of IEEE International Conference on Computer Design (ICCD), Asheville, NC, USA, October 6-9 2013

302-308

Styrkeområden

Informations- och kommunikationsteknik

Energi

Drivkrafter

Hållbar utveckling

Ämneskategorier

Datorsystem

DOI

10.1109/ICCD.2013.6657057

Mer information

Senast uppdaterat

2022-03-02