Design Space Exploration for an Embedded Processor with Flexible Datapath Interconnect
Paper i proceeding, 2010

The design of an embedded processor is dependent on the application domain. Traditionally, design solutions specific to an application domain have been available in three forms: VLIW-based DSP processors, ASICs and FPGAs; each respectively offering generality of application domain, energy efficiency and flexibility. However, while matching the application domain to the resources needed, the design space becomes huge. We present FlexTools, a tool framework built around the FlexCore architecture to evaluate performance and energy efficiency for different applications. Here we demonstrate FlexTools for design space exploration with a focus on the data-routing flexibility of the FlexCore processor, in search of energy-efficient interconnect configurations that are both cycle-count and hardware efficient. Evaluation results suggest that a well-optimized instance of a 65nm multiplier-extended FlexCore processor datapath, obtained using FlexTools, executes nine integer EEMBC benchmarks with a 15% cycle count reduction and dissipates 17% less energy than a reference MIPS datapath. © 2010 IEEE.

Författare

Tung Hoang

Chalmers, Data- och informationsteknik, Datorteknik

Ulf Jälmbrant

Chalmers, Data- och informationsteknik

Erik der Hagopian

Chalmers, Data- och informationsteknik

KASYAB PARMESH SUBRAMANIYAN

Chalmers, Data- och informationsteknik, Datorteknik

Magnus Själander

Chalmers, Data- och informationsteknik, Datorteknik

Per Larsson-Edefors

Chalmers, Data- och informationsteknik, Datorteknik

Proceedings of IEEE Int. Conf. on Application-specific Systems, Architectures and Processors (ASAP)

1063-6862 (ISSN)

55-62
9781424469673 (ISBN)

Ämneskategorier

Annan elektroteknik och elektronik

DOI

10.1109/ASAP.2010.5540812

ISBN

9781424469673

Mer information

Skapat

2017-10-07