Ioannis Sourdis

Full Professor at Computer Engineering (Chalmers)

Source: chalmers.se
Image of Ioannis Sourdis

Showing 65 publications

2023

Stream Aggregation with Compressed Sliding Windows

Prajith Ramakrishnan Geethakumari, Ioannis Sourdis
ACM Transactions on Reconfigurable Technology and Systems. Vol. 16 (3)
Journal article
2023

eProcessor: European, Extendable, Energy-Efficient, Extreme-Scale, Extensible, Processor Ecosystem

Lluc Alvarez, Abraham Ruiz, Arnau Bigas-Soldevilla et al
Proceedings of the 20th ACM International Conference on Computing Frontiers 2023, CF 2023, p. 309-314
Paper in proceeding
2022

FastTrackNoC: A NoC with FastTrack Router Datapaths

Ahsen Ejaz, Ioannis Sourdis
Proceedings - International Symposium on High-Performance Computer Architecture. Vol. 2022-April, p. 971-985
Paper in proceeding
2022

Preface

Miquel Pericas, Dionisios N. Pnevmatikatos, Ioannis Sourdis
Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors. Vol. 2022-July, p. IX-
Other conference contribution
2022

L2C: Combining Lossy and Lossless Compression on Memory and I/O

Albin Eldstål-Ahrens, Angelos Arelakis, Ioannis Sourdis
Transactions on Embedded Computing Systems. Vol. 21 (1)
Journal article
2022

FlatPack: Flexible Compaction of Compressed Memory

Albin Eldstål-Ahrens, Angelos Arelakis, Ioannis Sourdis
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT, p. 96-108
Paper in proceeding
2021

Reliability Analysis of Compressed CNNs

Stefano Ribes, Alirad Malek, Pedro Petersen Moura Trancoso et al
Report
2021

FastTrackNoC: A DDR NoC with FastTrack Router Datapaths

Ahsen Ejaz, Ioannis Sourdis
Report
2021

Introduction to the Special Section on FPL 2019

Xavier Martorell, Carlos Alvarez, Christos-Savvas Bouganis et al
ACM Transactions on Reconfigurable Technology and Systems. Vol. 14 (2)
Other text in scientific journal
2021

HighwayNoC: Approaching Ideal NoC Performance With Dual Data Rate Routers

Ahsen Ejaz, Vasileios Papaefstathiou, Ioannis Sourdis
IEEE/ACM Transactions on Networking. Vol. 29 (1), p. 318-331
Journal article
2021

Streamzip: Compressed Sliding-Windows for Stream Aggregation

Prajith Ramakrishnan Geethakumari, Ioannis Sourdis
2021 International Conference on Field-Programmable Technology, ICFPT 2021 (https://ieeexplore.ieee.org/document/9609952), p. 203-211
Paper in proceeding
2021

A Specialized Memory Hierarchy for Stream Aggregation

Prajith Ramakrishnan Geethakumari, Ioannis Sourdis
2021 31ST INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL 2021), p. 204-210
Paper in proceeding
2020

MemSZ: Squeezing Memory Traffic with Lossy Compression

Albin Eldstål-Ahrens, Ioannis Sourdis
Transactions on Architecture and Code Optimization. Vol. 17 (4)
Journal article
2020

Hybrid2: Combining Caching and Migration in Hybrid Memory Systems

Evangelos Vasilakis, Vasileios Papaefstathiou, Pedro Petersen Moura Trancoso et al
Proceedings - International Symposium on High-Performance Computer Architecture, p. 649-662
Paper in proceeding
2020

Mapping Multiple LSTM models on FPGAs

Stefano Ribes, Pedro Petersen Moura Trancoso, Ioannis Sourdis et al
Proceedings - 2020 International Conference on Field-Programmable Technology, ICFPT 2020, p. 1-9
Paper in proceeding
2019

Energy-efficient Runtime Management of Heterogeneous Multicores using Online Projection

Stavros Tzilis, Pedro Petersen Moura Trancoso, Ioannis Sourdis
Transactions on Architecture and Code Optimization. Vol. 15 (4)
Journal article
2019

LLC-guided data migration in hybrid memory systems

Evangelos Vasilakis, Vasileios Papaefstathiou, Pedro Petersen Moura Trancoso et al
Proceedings - 2019 IEEE 33rd International Parallel and Distributed Processing Symposium, IPDPS 2019, p. 932-942
Paper in proceeding
2019

AVR: Reducing Memory Traffic with Approximate Value Reconstruction

Albin Eldstål Damlin, Pedro Petersen Moura Trancoso, Ioannis Sourdis
ACM International Conference Proceeding Series. Vol. 5 August 2019
Paper in proceeding
2019

Time-SWAD: A dataflow engine for time-based single window stream aggregation

Prajith Ramakrishnan Geethakumari, Vincenzo Massimiliano Gulisano, Pedro Petersen Moura Trancoso et al
Proceedings - 2019 International Conference on Field-Programmable Technology, ICFPT 2019. Vol. 2019-December, p. 72-80
Paper in proceeding
2019

Decoupled fused cache: Fusing a decoupled LLC with a DRAM cache

Evangelos Vasilakis, Vasileios Papaefstathiou, Pedro Petersen Moura Trancoso et al
Transactions on Architecture and Code Optimization. Vol. 15 (4)
Journal article
2018

COSSIM: An open-source integrated solution to address the simulator gap for systems of systems

A. Brokalakis, Nikolaos Tampouratzis, Antonios Nikitakis et al
Proceedings - 21st Euromicro Conference on Digital System Design, DSD 2018, p. 115-120
Paper in proceeding
2018

FreewayNoC: A DDR NoC with Pipeline Bypassing

Ahsen Ejaz, Vasileios Papaefstathiou, Ioannis Sourdis
2018 12th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018
Paper in proceeding
2018

FusionCache: Using LLC tags for DRAM cache

Evangelos Vasilakis, Vasileios Papaefstathiou, Pedro Petersen Moura Trancoso et al
Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018. Vol. 2018-January, p. 593-596
Paper in proceeding
2018

Attacks on Heartbeat-Based Security Using Remote Photoplethysmography

Robert Mark Seepers, Wenjin Wang, Gerard de Haan et al
IEEE Journal of Biomedical and Health Informatics. Vol. 22 (3), p. 714-721
Journal article
2018

DDRNoC: Dual Data-Rate Network-on-Chip

Ahsen Ejaz, Vasileios Papaefstathiou, Ioannis Sourdis
Transactions on Architecture and Code Optimization. Vol. 15 (2)
Journal article
2017

Single Window Stream Aggregation using Reconfigurable Hardware

Prajith Ramakrishnan Geethakumari, Vincenzo Massimiliano Gulisano, Joel Bo Svensson et al
2017 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY (ICFPT), p. 112-119
Paper in proceeding
2017

Modeling Energy-Performance Tradeoffs in ARM big. LITTLE Architectures

Evangelos Vasilakis, Ioannis Sourdis, Vassilis Papaefstathiou et al
International Symposium on Power and Timing Modeling Optimization and Simulation
Paper in proceeding
2017

Towards real-time whisker tracking in rodents for studying sensorimotor disorders

Yang Ma, Prajith Ramakrishnan Geethakumari, G. Smaragdos et al
Proceedings - 2017 17th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2017. Vol. 2018-January, p. 137-145
Paper in proceeding
2017

Enhancing heart-beat-based security for mHealth applications

R.M. Seepers, C. Strydis, Ioannis Sourdis et al
IEEE Journal of Biomedical and Health Informatics. Vol. 21 (1), p. 254-262
Journal article
2017

SWAS: Stealing Work Using Approximate System-Load Information

Stavros Tzilis, Miquel Pericas, Pedro Petersen Moura Trancoso et al
46th International Conference on Parallel Processing Workshops, ICPPW 2017, Bristol, United Kingdom, 14 August 2017, p. 309-318
Paper in proceeding
2017

DDRNoC: Dual Data-Rate Network-on-Chip

Ahsen Ejaz, Vassilios Papaefstathiou, Ioannis Sourdis
Report
2017

Odd-ECC: On-demand DRAM error correcting codes

Alirad Malek, Evangelos Vasilakis, Vasileios Papaefstathiou et al
ACM International Conference Proceeding Series. Vol. Part F131197, p. 96-101
Paper in proceeding
2017

BrainFrame: a node-level heterogeneous accelerator platform for neuron simulations

G. Smaragdos, Georgios Chatzikonstantis, Rahul Kukreja et al
Journal of Neural Engineering. Vol. 14 (6)
Journal article
2016

Performance Analysis of Accelerated Biophysically-Meaningful Neuron Simulations

G. Smaragdos, G. Chatzikostantis, S. Nomikou et al
2016 Ieee International Symposium on Performance Analysis of Systems and Software Ispass 2016, p. 1-11
Paper in proceeding
2016

RQNoC: A resilient quality-of-service network-on-chip with service redirection

Alirad Malek, Ioannis Sourdis, Stavros Tzilis et al
Transactions on Embedded Computing Systems. Vol. 15 (2), p. Art. no. 2846097-
Journal article
2016

Secure key-exchange protocol for implants using heartbeats

R.M. Seepers, Jos H. Weber, Zekeriya Erkin et al
ACM International Conference on Computing Frontiers, CF 2016; Como; Italy; 16 May 2016 through 18 May 2016, p. 119-126
Paper in proceeding
2016

Runtime Management of Adaptive MPSoCs for Graceful Degradation

Stavros Tzilis, Ioannis Sourdis, V. Vasilikos et al
2016 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (Cases), p. Article number 2968517-
Paper in proceeding
2016

ECOSCALE: Reconfigurable computing and runtime system for future exascale systems

Iakovos Mavroidis, Ioannis Papaefstathiou, Luciano Lavagno et al
19th Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, Dresden, Germany, 14-18 March 2016, p. 696-701
Paper in proceeding
2016

Resilient chip multiprocessors with mixed-grained reconfigurability

Ioannis Sourdis, Danish Anis Khan, Alirad Malek et al
IEEE Micro. Vol. 36 (1), p. 35-45
Journal article
2015

Secure hardware-software architectures for robust computing systems

E. Athanasopoulos, M. Boehner, S. Ioannidis et al
Communications in Computer and Information Science. Vol. 570, p. 209-212
Paper in proceeding
2015

Reducing the performance overhead of resilient CMPs with substitutable resources

Alirad Malek, Stavros Tzilis, Danish Anis Khan et al
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, p. 191-196
Paper in proceeding
2015

Increasing the Trustworthiness of Embedded Applications

E. Athanasopoulos, M. Boehner, C. Giuffrida et al
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Vol. 9229, p. 321-322
Paper in proceeding
2015

On using a von neumann extractor in heart-beat-based security

R.M. Seepers, C. Strydis, Ioannis Sourdis et al
Proceedings - 14th IEEE International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2015, Helsinki, Finland, 20-22 August 2015. Vol. 1, p. 491-498
Paper in proceeding
2014

A Probabilistic Analysis of Resilient Reconfigurable Designs

Alirad Malek, Stavros Tzilis, Danish Anis Khan et al
27th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, Netherlands, 1-3 October 2014, p. 141-146
Paper in proceeding
2014

Peak misdetection in heart-beat-based security: Characterization and tolerance

R.M. Seepers, C. Strydis, P. Peris-Lopez et al
36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2014; Chicago; United States; 26 August 2014 through 30 August 2014, p. 5401-5405
Paper in proceeding
2014

DeSyRe: On-demand adaptive and reconfigurable fault-tolerant SoCs

Ioannis Sourdis, C. Strydis, A. Armato et al
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Vol. 8405, p. 312-317
Paper in proceeding
2014

FPGA-based biophysically-meaningful modeling of olivocerebellar neurons

G. Smaragdos, S. Isaza, M. Van Eijk et al
2014 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2014; Monterey, CA; United States; 26 February 2014 through 28 February 2014, p. 89-98
Paper in proceeding
2014

The DeSyRe runtime support for fault-tolerant embedded MPSoCs

Dionisios N. Pnevmatikatos, Stavros Tzilis, Ioannis Sourdis
Proceedings - 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2014, p. 197-204
Paper in proceeding
2014

Design and analysis of binary tree static random access memory for low power embedded systems

L. Sun, J. Mathew, S.N. Pagliarini et al
Journal of Low Power Electronics. Vol. 10 (3), p. 467-478
Journal article
2014

Real-time olivary neuron simulations on dataflow computing machines

G. Smaragdos, C. Davies, C. Strydis et al
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Vol. 8488, p. 487-497
Paper in proceeding
2014

A dependable coarse-grain reconfigurable multicore array

G. Smaragdos, Danish Anis Khan, Ioannis Sourdis et al
Proceedings of the International Parallel and Distributed Processing Symposium, IPDPS, p. 141-150
Paper in proceeding
2014

A runtime manager for gracefully degrading SoCs

Stavros Tzilis, Ioannis Sourdis
Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, p. 216-221
Paper in proceeding
2014

Adaptive entity-identifier generation for IMD emergency access

R.M. Seepers, C. Strydis, Ioannis Sourdis et al
ACM International Conference Proceeding Series, p. 41-44
Paper in proceeding
2013

Guest editorial: Workshop on Reconfigurable Computing

Ioannis Sourdis, C. S. Bouganis, M. Pericas
Journal of Systems Architecture. Vol. 59 (2), p. 77-77
Other text in scientific journal
2013

Heuristic Search for Adaptive, Defect-Tolerant Multiprocessor Arrays

V. Vasilikos, G. Smaragdos, C. Strydis et al
Transactions on Embedded Computing Systems. Vol. 12 (SUPPL1)
Journal article
2013

DeSyRe: On-demand system reliability

Ioannis Sourdis, C. Strydis, A. Armato et al
Microprocessors and Microsystems. Vol. 37 (8), p. 981-1001
Journal article
2013

Software modification aided transient error tolerance for embedded systems

R.A. Shafik, G.K. Rauwerda, J. Potman et al
Proceedings - 16th Euromicro Conference on Digital System Design, DSD 2013, p. 219-226
Paper in proceeding
2013

on-Demand System Reliability: The DeSyRe project

Ioannis Sourdis
13th International Conference on Embedded Computer Systems - Architectures, Modeling and Simulation (IC-SAMOS), Jul 15-18 2013, p. 246-246
Paper in proceeding
2013

A System Architecture, Processor, and Communication Protocol for Secure Implants

C. Strydis, R.M. Seepers, P. Peris-Lopez et al
Transactions on Architecture and Code Optimization. Vol. 10 (4), p. artcle nr. 57-23
Journal article
2012

The DeSyRe Project: On-Demand System Reliability

Ioannis Sourdis, C. Strydis, C. S. Bouganis et al
15th Euromicro Conference on Digital System Design, DSD 2012; Cesme, Izmir; Turkey; 5 September 2012 through 8 September 2012, p. 335-342
Paper in proceeding
2011

Reconfigurable Acceleration and Dynamic Partial Self-Reconfiguration in General Purpose Computing

Ioannis Sourdis, Abhijit Nandy, Venkatasubramanian Viswanathan et al
2011 International Conference on Field-Programmable Technology, FPT 2011; New Delhi; India; 12 December 2011 through 14 December 2011
Paper in proceeding
2011

Hardware OS Communication Service and Dynamic Memory Management for RSoCs

Surya Narayanan, Daniel Chillet, Sebastien Pillement et al
Int. Conf. on ReConFigurable Computing and FPGAs (ReConFig 2011), p. 117-122
Paper in proceeding
2011

Communication Service for hardware tasks executed on dynamic and partial reconfigurable resource

Surya Narayanan, Ludovic Devaux, Daniel Chillet et al
IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2011), p. 196-199
Paper in proceeding
2011

Longest prefix match and updates in range tries

Ioannis Sourdis, S. H. Katamaneni
Proceedings - 22nd IEEE International Conference on Application-Specific Systems, Architectures and Processors, Santa Monica, 11-14 September 2011, p. 51-58
Paper in proceeding
2011

HiPEAC: Upcoming Challenges in Reconfigurable Computing

Ioannis Sourdis, Georgi Gaydadjiev
Springer Science+Business Media, p. 35-52
Book chapter

Download publication list

You can download this list to your computer.

Filter and download publication list

As logged in user (Chalmers employee) you find more export functions in MyResearch.

You may also import these directly to Zotero or Mendeley by using a browser plugin. These are found herer:

Zotero Connector
Mendeley Web Importer

The service SwePub offers export of contents from Research in other formats, such as Harvard and Oxford in .RIS, BibTex and RefWorks format.

Showing 14 research projects

2022–2024

EUMMSS: Efficient Uncore Mechanisms for Multicore Space Systems

Ioannis Sourdis Computer Systems
Swedish National Space Board

2022–2024

EPI SGA2

Per Stenström Computer and Network Systems
Miquel Pericas Computer Systems
Ioannis Sourdis Computer Systems
Pedro Petersen Moura Trancoso Computer Systems
European Commission (EC)

2021–2025

Pilot using Independent Local & Open Technologies (The European PILOT)

Ioannis Sourdis Computer Systems
Per Stenström Computer and Network Systems
Miquel Pericas Computer Systems
Pedro Petersen Moura Trancoso Computer Systems
Swedish Research Council (VR)
European Commission (EC)

2021–2023

Certifiable System-on-Chip for Safety Critical Industrial Applications

Ioannis Sourdis Computer Systems
VINNOVA

2021–2025

Principer för beräknande minnesenheter (PRIDE)

Per Stenström Computer and Network Systems
Ioannis Sourdis Computer Systems
Miquel Pericas Computer Systems
Pedro Petersen Moura Trancoso Computer Systems
Swedish Foundation for Strategic Research (SSF)

5 publications exist
2021–2024

European, extendable, energy-efficient, energetic, embedded, extensible, Processor Ecosystem (eProcessor)

Ioannis Sourdis Computer Systems
Per Stenström Computer and Network Systems
Pedro Petersen Moura Trancoso Computer Systems
Miquel Pericas Computer Systems
European Commission (EC)

8 publications exist
2017–2020

ScalaNetS: Skalbara nätverks- och dataströmsberäkningar

Ioannis Sourdis Computer Engineering (Chalmers)
Swedish Research Council (VR)

3 publications exist
2015–2018

Energy-efficient Heterogeneous COmputing at exaSCALE (ECOSCALE)

Vasileios Papaefstathiou Computer Engineering (Chalmers)
Ioannis Sourdis Computer Engineering (Chalmers)
European Commission (EC)

12 publications exist
2015–2018

ACE: Approximate Algorithms and Computing Systems

Per Stenström Computer Engineering (Chalmers)
Johan Karlsson Computer Science and Engineering (Chalmers)
Sally A McKee Computer Engineering (Chalmers)
Ulf Assarsson Computer Engineering (Chalmers)
Ioannis Sourdis Computer Engineering (Chalmers)
Devdatt Dubhashi Computing Science (Chalmers)
Christos Dimitrakakis Computing Science (Chalmers)
Alexandra Angerd Computer Engineering (Chalmers)
Jacob Lidman Computer Engineering (Chalmers)
Behrooz Sangchoolie Computer Engineering (Chalmers)
Fatemeh Ayatolahi Computer Engineering (Chalmers)
Albin Eldstål Damlin Computer Engineering (Chalmers)
Miquel Pericas Computer Engineering (Chalmers)
Erik Sintorn Computer Engineering (Chalmers)
Swedish Research Council (VR)

9 publications exist
2015–2018

Secure Hardware-Software Architectures for Robust Computing Systems (SHARCS)

Ioannis Sourdis Computer Engineering (Chalmers)
European Commission (EC)

3 publications exist
2014–2017

Embedded Multi-Core Systems for Mixed Criticality Applications in Dynamic and Changeable Real-Time Environments (EMC2)

Per Stenström Computer Engineering (Chalmers)
Ioannis Sourdis Computer Engineering (Chalmers)
VINNOVA
European Commission (EC)

1 publication exists
2014–2018

A Novel, Comprehensible, Ultra-Fast, Security-Aware CPS Simulator (COSSIM)

Ioannis Sourdis Computer Engineering (Chalmers)
European Commission (EC)

1 publication exists
2013–2016

Green Computing Node for European micro-servers (EUROSERVER)

Bhavishya Goel Computer Engineering (Chalmers)
Per Stenström Computer Engineering (Chalmers)
Ioannis Sourdis Computer Engineering (Chalmers)
Sally A McKee Computer Engineering (Chalmers)
European Commission (EC)

2 publications exist
2011–2015

on-Demand System Reliability (DeSyRe)

Ioannis Sourdis Computer Engineering (Chalmers)
European Commission (EC)

1 publication exists
There might be more projects where Ioannis Sourdis participates, but you have to be logged in as a Chalmers employee to see them.